Thermal Annealing

Conventional, high-temperature annealing is used in device manufacturing to relieve stress in silicon; to activate ion-implanted dopants and to reduce structural defects and stress; and to reduce interfacial charge at the silicon-silicon dioxide interface. There are also lower temperature annealing processes that may not be referred to as such. Some other heat treatment processes are occasionally referred to as annealing. These include glass densification, polysilicon and doped polysilicon anneal, silicide formation and contact sintering. The normal temperature range for annealing processes lies between 900 and 1100°C (when processes such as polysilicon anneal and silicide formation are included, the lower limit is extended to about 700°C). It is normally performed in an inert ambient such as nitrogen or a reducing ambient such as forming gas (N2/H2). Wolf and Tauber provide an excellent discussion on the importance of thermal annealing in device fabrication.

Historically, thermal annealing processes were most often performed using conventional resistively-heated tube furnaces. However, as device designs shrank late in the last century, it was found that the time at temperature profiles necessary for dopant activation and crystal damage repair in such approaches resulted in undesirable dopant diffusion. Since atom mobility within the silicon matrix is negligible at temperatures much below 900°C, temperature reduction was not an available option for solving this problem. As with thermal oxidation processes, most of this undesirable dopant migration occurred during the heat-up and cool-down phases in these processes. A typical furnace anneal process for dopant activation and crystal damage repair might require 30 minutes at 900°C. Under such conditions, dopant atoms, especially the small B atom, suffer excessive diffusion. Studies showed that dopant activation and crystal repair in the same substrate could be achieved with a 1050°C for 20 seconds (or less) without excessive dopant diffusion which led to the obvious problem resolution of rapid thermal annealing (RTA). As with rapid thermal oxidation, in RTA the silicon wafer is heated to high temperature in seconds by a bank of heat lamps. Cooling is also rapid since the thermal mass of the entire system is small.

Thermal Processing Products

While MKS does not directly produce thermal processing equipment for the semiconductor industry, we act as an OEM supplier for producers of thermal processing products.

Related Topics

Thermal Processing


MKS Semiconductor Handbook Cover

For additional insights into semiconductor topics like this, download our free MKS Instruments Handbook: Semiconductor Devices & Process Technology

Request a Handbook